SV——extern virtual function

如果在class 中对virtual function使用了extern声明,如下:

class base_test extends uvm_test;

extern virtual function void build_phase(uvm_phase(phase);

endclass

那么要在class之后定义这个virtual function的实体,如下:

function void build_phase(uvm_phase phae); ….. endfunction

在virtual function实体中,不能再加virtual 和 extern关键了;加任意一个都会编译报错。


本博客所有文章除特别声明外,均采用 CC BY-SA 4.0 协议 ,转载请注明出处!